fpga-systems-magazine

TerosHDL - IDE достойная Вашего внимания

KeisN13
16.09.2019 08:29
1523
0
0.0
На просторах LinkedIn часто встречается много чего полезного и вот наткнулся на интересную IDE для проектирования кода на HDL -  TerosHDL - An open-source IDE for FPGA. 
Скачать IDE можно с сайта производителя www.terostech.com, а поделиться своим мнением и первым опытом работы о данное среде разработки HDL кода можно в комментариях под этой новостью.
 
1523
0
0.0

Всего комментариев : 0
avatar

FPGA-Systems – это живое, постоянно обновляемое и растущее сообщество.
Хочешь быть в курсе всех новостей и актуальных событий в области?
Подпишись на рассылку

ePN